antosdk-apps/MonacoCore/bundle/vendors-node_modules_monaco-editor_esm_vs_basic-languages_systemverilog_systemverilog_js.bundle.js

22 lines
17 KiB
JavaScript
Raw Normal View History

2021-04-19 15:08:15 +02:00
/*
* ATTENTION: The "eval" devtool has been used (maybe by default in mode: "development").
* This devtool is neither made for production nor for readable output files.
* It uses "eval()" calls to create a separate source file in the browser devtools.
* If you are trying to read the output file, select a different devtool (https://webpack.js.org/configuration/devtool/)
* or disable the default devtool with "devtool: false".
* If you are looking for production-ready output files, see mode: "production" (https://webpack.js.org/configuration/mode/).
*/
(self["webpackChunkbrowser_esm_webpack"] = self["webpackChunkbrowser_esm_webpack"] || []).push([["vendors-node_modules_monaco-editor_esm_vs_basic-languages_systemverilog_systemverilog_js"],{
/***/ "./node_modules/monaco-editor/esm/vs/basic-languages/systemverilog/systemverilog.js":
/*!******************************************************************************************!*\
!*** ./node_modules/monaco-editor/esm/vs/basic-languages/systemverilog/systemverilog.js ***!
\******************************************************************************************/
/***/ ((__unused_webpack_module, __webpack_exports__, __webpack_require__) => {
"use strict";
eval("__webpack_require__.r(__webpack_exports__);\n/* harmony export */ __webpack_require__.d(__webpack_exports__, {\n/* harmony export */ \"conf\": () => (/* binding */ conf),\n/* harmony export */ \"language\": () => (/* binding */ language)\n/* harmony export */ });\n/*---------------------------------------------------------------------------------------------\r\n * Copyright (c) Microsoft Corporation. All rights reserved.\r\n * Licensed under the MIT License. See License.txt in the project root for license information.\r\n *--------------------------------------------------------------------------------------------*/\r\nvar conf = {\r\n comments: {\r\n lineComment: '//',\r\n blockComment: ['/*', '*/']\r\n },\r\n brackets: [\r\n ['{', '}'],\r\n ['[', ']'],\r\n ['(', ')'],\r\n ['begin', 'end'],\r\n ['case', 'endcase'],\r\n ['casex', 'endcase'],\r\n ['casez', 'endcase'],\r\n ['checker', 'endchecker'],\r\n ['class', 'endclass'],\r\n ['clocking', 'endclocking'],\r\n ['config', 'endconfig'],\r\n ['function', 'endfunction'],\r\n ['generate', 'endgenerate'],\r\n ['group', 'endgroup'],\r\n ['interface', 'endinterface'],\r\n ['module', 'endmodule'],\r\n ['package', 'endpackage'],\r\n ['primitive', 'endprimitive'],\r\n ['program', 'endprogram'],\r\n ['property', 'endproperty'],\r\n ['specify', 'endspecify'],\r\n ['sequence', 'endsequence'],\r\n ['table', 'endtable'],\r\n ['task', 'endtask']\r\n ],\r\n autoClosingPairs: [\r\n { open: '[', close: ']' },\r\n { open: '{', close: '}' },\r\n { open: '(', close: ')' },\r\n { open: \"'\", close: \"'\", notIn: ['string', 'comment'] },\r\n { open: '\"', close: '\"', notIn: ['string'] }\r\n ],\r\n surroundingPairs: [\r\n { open: '{', close: '}' },\r\n { open: '[', close: ']' },\r\n { open: '(', close: ')' },\r\n { open: '\"', close: '\"' },\r\n { open: \"'\", close: \"'\" }\r\n ],\r\n folding: {\r\n offSide: false,\r\n markers: {\r\n start: new RegExp('^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\\\b'),\r\n end: new RegExp('^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\\\b')\r\n }\r\n }\r\n};\r\nvar language = {\r\n defaultToken: '',\r\n tokenPostfix: '.sv',\r\n brackets: [\r\n { token: 'delimiter.curly', open: '{', close: '}' },\r\n { token: 'delimiter.parenthesis', open: '(', close: ')' },\r\n { token: 'delimiter.square', open: '[', close: ']' },\r\n { token: 'delimiter.angle', open: '<', close: '>' }\r\n ],\r\n keywords: [\r\n 'accept_on',\r\n 'alias',\r\n 'always',\r\n 'always_comb',\r\n 'always_ff',\r\n 'always_latch',\r\n 'and',\r\n 'assert',\r\n 'assign',\r\n 'assume',\r\n 'automatic',\r\n 'before',\r\n 'begin',\r\n 'bind',\r\n 'bins',\r\n 'binsof',\r\n 'bit',\r\n 'break',\r\n 'buf',\r\n 'bufif0',\r\n 'bufif1',\r\n 'byte',\r\n 'case',\r\n 'casex',\r\n 'casez',\r\n 'cell',\r\n 'chandle',\r\n 'checker',\r\n 'class',\r\n 'clocking',\r\n 'cmos',\r\n 'config',\r\n 'const',\r\n 'constraint',\r\n 'context',\r\n 'continue',\r\n 'cover',\r\n 'covergroup',\r\n 'coverpoint',\r\n 'cross',\r\n 'deassign',\r\n 'default',\r\n 'defparam',\r\n 'design',\r\n 'disable',\r\n 'dist',\r
/***/ })
}]);