antosdk-apps/MonacoCore/build/debug/bundle/vendors-node_modules_monaco-editor_esm_vs_basic-languages_systemverilog_systemverilog_js.bundle.js

4 lines
13 KiB
JavaScript
Raw Normal View History

2022-06-03 19:30:11 +02:00
"use strict";(self.webpackChunkmonanco_wpack=self.webpackChunkmonanco_wpack||[]).push([["vendors-node_modules_monaco-editor_esm_vs_basic-languages_systemverilog_systemverilog_js"],{"./node_modules/monaco-editor/esm/vs/basic-languages/systemverilog/systemverilog.js":
2021-04-21 11:37:58 +02:00
/*!******************************************************************************************!*\
!*** ./node_modules/monaco-editor/esm/vs/basic-languages/systemverilog/systemverilog.js ***!
2022-06-03 19:30:11 +02:00
\******************************************************************************************/(__unused_webpack_module,__webpack_exports__,__webpack_require__)=>{eval('__webpack_require__.r(__webpack_exports__);\n/* harmony export */ __webpack_require__.d(__webpack_exports__, {\n/* harmony export */ "conf": () => (/* binding */ conf),\n/* harmony export */ "language": () => (/* binding */ language)\n/* harmony export */ });\n/*!-----------------------------------------------------------------------------\n * Copyright (c) Microsoft Corporation. All rights reserved.\n * Version: 0.33.0(4b1abad427e58dbedc1215d99a0902ffc885fcd4)\n * Released under the MIT license\n * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt\n *-----------------------------------------------------------------------------*/\n\n// src/basic-languages/systemverilog/systemverilog.ts\nvar conf = {\n comments: {\n lineComment: "//",\n blockComment: ["/*", "*/"]\n },\n brackets: [\n ["{", "}"],\n ["[", "]"],\n ["(", ")"],\n ["begin", "end"],\n ["case", "endcase"],\n ["casex", "endcase"],\n ["casez", "endcase"],\n ["checker", "endchecker"],\n ["class", "endclass"],\n ["clocking", "endclocking"],\n ["config", "endconfig"],\n ["function", "endfunction"],\n ["generate", "endgenerate"],\n ["group", "endgroup"],\n ["interface", "endinterface"],\n ["module", "endmodule"],\n ["package", "endpackage"],\n ["primitive", "endprimitive"],\n ["program", "endprogram"],\n ["property", "endproperty"],\n ["specify", "endspecify"],\n ["sequence", "endsequence"],\n ["table", "endtable"],\n ["task", "endtask"]\n ],\n autoClosingPairs: [\n { open: "[", close: "]" },\n { open: "{", close: "}" },\n { open: "(", close: ")" },\n { open: "\'", close: "\'", notIn: ["string", "comment"] },\n { open: \'"\', close: \'"\', notIn: ["string"] }\n ],\n surroundingPairs: [\n { open: "{", close: "}" },\n { open: "[", close: "]" },\n { open: "(", close: ")" },\n { open: \'"\', close: \'"\' },\n { open: "\'", close: "\'" }\n ],\n folding: {\n offSide: false,\n markers: {\n start: new RegExp("^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\\\b"),\n end: new RegExp("^(?:\\\\s*|.*(?!\\\\/[\\\\/\\\\*])[^\\\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\\\b")\n }\n }\n};\nvar language = {\n defaultToken: "",\n tokenPostfix: ".sv",\n brackets: [\n { token: "delimiter.curly", open: "{", close: "}" },\n { token: "delimiter.parenthesis", open: "(", close: ")" },\n { token: "delimiter.square", open: "[", close: "]" },\n { token: "delimiter.angle", open: "<", close: ">" }\n ],\n keywords: [\n "accept_on",\n "alias",\n "always",\n "always_comb",\n "always_ff",\n "always_latch",\n "and",\n "assert",\n "assign",\n "assume",\n "automatic",\n "before",\n "begin",\n "bind",\n "bins",\n "binsof",\n "bit",\n "break",\n "buf",\n "bufif0",\n "bufif1",\n "byte",\n "case",\n "casex",\n "casez",\n "cell",\n "chandle",\n "checker",\n "class",\n "clocking",\n "cmos",\n "config",\n "const",\n "constraint",\n "context",\n "continue",\n "cover",\n "covergroup",\n "coverpoint",\n "cross",\n "deassign",\n "default",\n "defparam",\n "design",\n "disable",\n "dist",\n "do",\n "edge",\n "else",\n "end",\n "endcase",\n "endchecker",\n "endclass",\n "endclocking",\n "endconfig",\n "endfunction",\n "endgenerate",\n "endgroup",\n "endinterface",\n "endmodule",\n "endpackage",\n "endprimitive",\n "endprogram",\n "endproperty",\n "endspecify",\n "endsequence",\n "endtable",\n